quartus

时间:2024-06-10 02:17:33编辑:思创君

Quartus II 软件的基本应用

计算机为开发平台,经过设计输入、仿真、测试和校验,直至达到预期结果。本文使用MathWorks公司的MATLAB软件和Altera公司的FPGA开发软件Quartus II进行FIR滤波器的设计仿真,并给出了设计的一般步骤。该方法能够直观地检验滤波器的设计效果,提高设计效率,缩短设计周期。
1 FIR滤波器原理
1.1 FIR滤波器基本结构
FIR滤波器的数学表达式为 :

式中:N为FIR滤波器的抽头数;x(n)为第n时刻的输入样本;h(i)为FIR滤波器第i级抽头系数。
普通的直接型FIR滤波器结构如图1所示。

在自适应处理、数据通信等领域中往往要求信号在传输过程中不能有明显的相位失真,FIR滤波器可以做到线性相位满足此要求。FIR滤波器实质上是一个分节的延迟线,把每一节的输出加权累加,得到滤波器的输出。对于FIR滤波器的单位脉冲响应h(i)只要满足以下2个条件之一,则为线性相位滤波器。

线性相位的FIR滤波器具有中心对称的特性,其对称中心在N/2处。
1.2 窗函数设计方法
FIR滤波器的设计方法有窗函数法、频率取样法和最优化设计法。其中窗函数法是设计FIR滤波器最简单有效的方法,也是最常用的方法。在本设计中,所用到的滤波器的系数都是借助于窗函数法完成的。窗函数设计法是一种通过截短和计权的方法使无限长非因果序列成为有限长脉冲响应序列的设计方法。利用加窗函数进行截断和平滑,以实现一个物理可实现且具有线性相位的FIR滤波器的设计目的。
FIR滤波器的窗函数法设计过程为:

式中:Hd(ejΩ)为逼近的理想滤波器频率响应;hd(k)为理想滤波器的单位脉冲响应,是无限长序列。
为获取实际应用的FIR滤波,需将hd(k)截断,用有限长的h(k)近似表示,用窗函数可以避免吉布斯现象h(k)=hd(k)ω(k),最后得到实际FIR滤波的频率响应H(ejΩ)。
设计常用的窗函数有矩形窗、汉宁窗、海明窗、凯撒窗等。凯撒窗是一种适应性较强的窗,可同时调整主瓣宽度和旁瓣电平,所以本设计选择凯撒窗实现。

1.3 FPGA设计中的流水线原则
在FPGA设计中,为提高系统的运行速度,而将指令分为几个子操作,每个子操作由不同的单元完成,这样,每一级的电路结构得到简化,从而减少输入到输出间的电路延时,在较小的时钟周期内就能够完成这一级的电路功能。在下一个时钟周期到来时,将前一级的结果锁存为该级电路的输人,这样逐级锁存,由最后一级完成最终结果的输出。也就是说,流水线技术是将待处理的任务分解为相互有关而又相互独立、可以顺序执行的子任务来逐步实现。本设计在处理加法器时,利用对称和流水线技术来提高滤波器的性能。

2 基于计算机辅助的FIR滤波器设计流程
随着DSP技术在FPGA上的应用越来越广泛,FPGA制造商相继推出了一些可以快速简单进行DSP设计的辅助性软件,利用这些软件的结合可以简化FIR滤波器设计。本设计将常用的MATLAB软件和FPGA的设计软件Quartus II结合起来仿真。其设计流程的步骤如下。

1)在MATLAB中进行仿真设计和修改
设计指标为:采样频率1000 Hz,f1=314 Hz,f2=417 Hz,阻带衰减40 dB。通过参数指标确定所需的阶数和参数值,部分程序如下:
wp=0.2*pi; //通带截止频率
W8=0.3*pi; //阻带截止频率
As=40; //最小阻带衰减
tr_width=ws-wp; //带宽
M=ceil((As-7.95)/(14.36*tr-width); //按凯撒窗计算滤波器长度
disp(['order',num2str(M)]);
n=[0:1:M-1];
%beta=0.1102*(As-8.7); //计算beta值(As>50)
beta=0.5842*(A8-21)^0.4+0.07886*(As-21); //(21
<As<50),beta=3.3953
求出窗函数:
w_kai=(kaiser(M,beta))';//求凯撒窗函数
求理想和实际脉冲响应:
hd=ideal_lp(wc,M); //理想脉冲
h=hd.*w_kai; //凯撒窗下实际脉冲
由图2、图3验证技术指标。


如果不满足,则要改变带宽,或改变窗形状重新计算。现在由图可见,满足设计要求。
2)利用Simulink检验滤波效果
Simulink是MATLAB中一个专门用于对动态系统进行建模、仿真和分析的软件包。通过调用模块,可以构成仿真数字滤波器特性的结构框图。输人低频10 Hz和高频600 Hz的两个信号,利用所设计的滤波器进行滤波。建立仿真电路结构,导入前面所设计的FIR滤波器,如图4所示。

通过输入(图5(a))、输出波形(图5(b))的比较可看出,该FIR滤波器可以将高频部分有效的滤除。

3)Quartus II设计及仿真
对MATLAB信号处理工具箱产生的滤波器模型及系数,用Quartus II进行设计仿真。Quartus II软件是Altera公司主推的FPGA设计软件,是集设计输入、编译、综合、仿真、布线、下载于一体的设计软件。由于MATLAB产生的滤波器系数是小数,将其转换为12位二进制系数使用。限于篇幅,采用采样频率 =5 MHz,截止频率fc=1.5 MHz,阶数15,凯撒窗的设计指标。首先建一个block diagram文件通过功能模块的搭建进行滤波器的设计,通过编译综合功能对生成的文件进行编译和综合,然后生成的顶层模块,见图6。

由MATLAB产生的FIR数字滤波器的系数如下:
h[0]=h[15]=0.039907834316444589
h[0]=h[15]=81D=000001010001=51H
h[1]=h[14]=-0.014451669844537473
h[1]=h[14]= -29 D = -000000011101=FE3H
h[2]=h[13]=-0.045307614673700439
h[2]=h[13]=-92 D = -000001011100=FA4H
h[3]=h[12]=0.05598422134210561
h[3]=h[12]=114 D =000001110010=72H
h[4]=h[11]=0.027733961872000723
h[4]=h[11]=56 D =000000111000=38H
h[5]=h[10]=-0.12646776014151861
h[5]=h[10]=-259 D = -000100000011=EFDH
h[6]=h[9]=0.065416521018384063
h[6]=h[9]=133 D =000010000101=85H
h[7]=h[8]=0.51489745091333106
h[7]=h[8]=1054 D =010000011110=41EH
在设计中采用流水线来提高有效的乘法器速度,该部分的VHDL代码如下:
t1<=tap(0)+tap(15);
t2<=tap(1)+tap(14);
……
t8<=tap(7)+tap(8);
t9< = -t2:
t10< = -t3:
……
y<=t1+t9+……+t8
通过Quanus II的波形仿真功能,对输入输出进行波形仿真,对其结果进行定量分析。通过时序分析和功能分析结果,对设计进行进一步的完善,在仿真中,x为输入信号,依次为1,2,4,10,12,得到的输出结果如图7所示。经验证,仿真结果与实际运算结果一致。


3 结束语
本文综合介绍了基于FPGA软件Quartus II和MATLAB的FIR滤波器的设计仿真,将两大软件综合运用后大大缩减了设计研发的时间,在算法结构上利用了流水线等优化方式。在实际应用中,可以通过对滤波器参数的修改,很容易地实现其他各种滤波器的设计,具有一定的工程设计参考价值。


求quartus2的详细使用方法

Quartus II 的使用2
1 工程建立2
2 原理图的输入5
3 文本编辑 (verilog)15
4 波形仿真16

Quartus II 的使用
在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。

图 1.1 Quartus II 管理器
1.1 工程建立
使用 New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。 还可以指定要在工程中使用的设计文件、其它源文件、用户库和 EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。
建立工程的步骤如下:
(1)选择File菜单下New Project Wizard ,如图1.2所示。

图 1.2 建立项目的屏幕
(2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。

图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。

图 1.4 加入设计文件
(4)选择设计器件,如图1.5所示。

图 1.5 选择器件
(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。

图 1.6 选择EDA 工具
(6)建立项目完成,显示项目概要,如图1.7所示。

图 1.7 项目概要

1.2 原理图的输入
原理图输入的操作步骤如下:
(1)选择File 菜单下 New ,新建图表/原理图文件,如图1.8
所示。

图 1.8 新建原理图文件
(2)在图1.9的空白处双击,屏幕如图1.10所示:
(3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击
ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;
(4)重复(2)、(3)步骤,给图中放一个input、not、output
符号,如图1.11所示;在图1.11中,将光标移到右侧input右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

图1.9 空白的图形编辑器

图1.10 选择元件符号的屏幕

图1.11 放置所有元件符号的屏幕
(5)重复(4)的方法将DFF和output连起来,完成所有的连
线电路如图1.12所示;
(6)在图1.12中,双击input_name使其衬低变黑后,再键入
clk,及命名该输入信号为clk,用相同的方法将输出信号定义成Q;如图1.13所示。
(7)在图1.13中单击保存按钮 ,以默认的try1 文件名保存,
文件后缀为bdf。

图1.12 完成连线后的屏幕

图1.13 完成全部连接线的屏幕
(8)在图1.8中,单击编译器快捷方式按钮 ,完成编译后,弹
出菜单报告错误和警告数目,并生成编译报告如图1.14所示;

图1.14 完成编译的屏幕
(9)若需指定器件,选择Assignments菜单下Device选项,屏
幕如图1.15所示;

图1.15 器件设置
(10)完成如图1.15所示的选择后,单击OK按钮回到工作
环境;
(11)根据硬件接口设计,对芯片管脚进行绑定。选择
Assignments菜单下Pins选项;
(12)双击对应管脚后Location空白框,出现下拉菜单中选
择要绑定的管脚,如图1.16所示;

图1.16 管脚指定
(13)在图1.16中完成所有管脚的分配,并把没有用到的引
脚设置为As input tri-stated, Assignments—Device—Device and Pin Options –Unused Pins,然后重新编译项目;
(14)对目标版适配下载,(此处认为实验板已安装妥当,有
关安装方法见实验板详细说明)单击 按钮,屏幕显示如图1.17所示;

图1.18 适配下载界面
(15)选择Hardware Setup ,如图1.19所示;

图1.19 下载硬件设置
(16)在图1.19中选择添加硬件ByteBlasteMV or ByteBlaster II,如图1.20所示;

图1.20 添加下载硬件
(17)可以根据需要添加多种硬件于硬件列表中,双击可选列表中需要的一种,使其出现在当前选择硬件栏中(本实验板采用ByteBlaster II 下载硬件),如图1.21所示;

图1.21 选择当前下载硬件
(18)选择下载模式,本实验板可采用两种配置方式,AS模式对配置芯片下载,可以掉电保持,而JTGA模式对FPGA下载,掉电后FPGA信息丢失,每次上电都需要重新配置,如图1.22所示;

图1.22 选择下载模式
(19)选择下载文件和器件,JTAG 模式使用后缀为sof 的文
件,AS模式使用后缀为pof的文件,选择需要进行的操作,分别如图1.23,图1.24所示;使用AS模式时,还要设置Assignments 菜单下Device,如图1.25,选择图1.25中Device & Pin Options,如图1.26,选择使用的配置芯片,编译;

图1.23 JTAG下载模式

图1.24 AS下载模式

图1.25 器件选项

图1.25 配置芯片选择
(20)点击Start按键,开始下载。
1.3 文本编辑 (verilog)
这一节中将向读者简单介绍如何使用Quartus II软件进行文本编辑。
文本编辑(verilog)的操作如下:
(1)建立我们的project2项目如下图:

图1.26 建立项目project2
(2)在软件主窗口单击File菜单后,单击New选项,选择Verilog HDL File选项,如图1.27所示:

图1.27 新建Verilog HDL文件
(3)单击OK进入空白的文本编辑区,进行文本编辑,本节列举一个D触发器的例子,其完成后的屏幕如图1.28所示;

图1.28 完成编辑后的屏幕
(4)V文件名必须与模块面相同,将dff1.v文件设置为顶层文
件,Project—Set as Top-level Entity
(5)完成编辑后的步骤与完成原理图编辑的步骤相同,请参考
1.1节有关内容。
(6)利用v文件生成原理图模块。在v文件编辑界面中,
File—Creat/Update—Creat Symbol Files for Curent File.
1.4 波形仿真
下面以1.2节中project2为例,介绍使用Quartus II 软件自带的仿真器进行波形仿真的步骤。
(1)打开project2 项目,新建波形仿真文件,如图1.29;

图1.29 新建矢量波形文件
(2)在建立的波形文件左侧一栏中,点击鼠标右键,在弹出菜单中选择 Insert Node or Bus,如图1.30所示;

图1.29 矢量波形文件节点加入
(3)在出现的图1.30中,选择Node Finder,将打开Node Finder 对话框,本试验对输入输出的管脚信号进行仿真,所以在Filter 中选择 Pins:all,点击List 按钮,如图1.31所示;

图1.30 节点加入工具框

图1.31 Node Finder 对话框
(4)在图1.31左栏中选择需要进行仿真的端口通过中间的按钮加入到右栏中,点击OK,端口加入到波形文件中,如图1.32;

图1.32 加入仿真节点后的波形图
(5)在图1.32中,选择一段波形,通过左边的设置工具条,给出需要的值,设置完成激励波形,保存后如图1.33所示;

图1.33 设置好激励波形的波形文件
(6)设置为功能仿真:Assignment—Timing Analysis Settings--
Simulator Settings—Simulation mode 选择Functional, 生成网络表Processing—Generate Functional Simulation Netlist;
(7)点击快捷按钮 ,开始仿真,完成后得到波形如图1.34所示,根据分析,功能符合设计要求。

图1.33 波形仿真结果


上一篇:腾讯qq手机版

下一篇:女友是九一年的音乐学院大三学生